.. Naming Conventions 命名規約 ================== .. Generally speaking, Scala uses "camelCase" naming conventions. That is, each word (except possibly the first) is delimited by capitalizing its first letter. Underscores (``_``) are *heavily* discouraged as they have special meaning within the Scala syntax. Please note that there are a few important exceptions to this guideline (as given below). 一般に,Scalaは「キャメルケース」命名規約を用います。つまり,各単語(最初の単語は違うかもしれません)をその最初の文字を\ 大文字にすることで区切ります。アンダースコア(\ ``_``\ )はScalaの文法で特別な意味を持つため,\ 使わないように\ **厳しく**\ 自制してください。\ いくつかの重要な例外規則が,下に挙げたものの中にあることに留意してください。 .. toctree:: classes_traits objects packages methods/index fields type_parameters/index type_aliases annotations special_note_on_brevity